CORDIS - Resultados de investigaciones de la UE
CORDIS

Ultrapure Water Technology - nanoparticle free water for the advanced nanoelectronics industry enabling further miniaturization of electronic devices

Article Category

Article available in the following languages:

El agua ultralimpia causa sensación en la industria de los semiconductores

La miniaturización de microchips está ligada con la reducción de la distancia entre hilos conductores, actualmente inferior a 10 nm, lo que facilita que las partículas diminutas alojadas entre ellos creen cortocircuitos. Una tecnología financiada con fondos europeos proporciona una forma pionera y ecológica de eliminar estas impurezas.

Tecnologías industriales icon Tecnologías industriales

Las tecnologías nanoelectrónicas han evolucionado a una velocidad sorprendente, lo que ha facilitado un empaquetamiento cada vez más pequeño de componentes en chips y una mayor capacidad de memoria. Sin embargo, esto ha aumentado el riesgo de que las impurezas reduzcan la tasa de rendimiento y, por lo tanto, la rentabilidad. Los chips procesados en salas blancas de alta tecnología se enjuagan individualmente unas cien veces durante su fabricación. El fabricante europeo de semiconductores NXP (antes Philips) requiere aproximadamente treinta millones de litros de agua al día. Los procesos avanzados para producir agua ultrapura (UPW, por sus siglas en inglés) tienen dificultades para eliminar partículas con un tamaño inferior a 20 nm, por no hablar de aquellas con un tamaño inferior a 10 nm. A medida que se reduce el tamaño de los microchips, estas nanopartículas crean más problemas. Su presencia aumenta la probabilidad de que los chips se desechen, lo que conlleva un desperdicio notable de recursos naturales, tiempo y dinero. Por lo tanto, mejorar la pureza del agua y reducir al mismo tiempo el consumo de agua y energía, el desperdicio de materias primas y el coste es esencial para favorecer la miniaturización continua de los chips y la competitividad de la industria europea. El proyecto ULTRAWAT, financiado con fondos europeos, ha desarrollado una tecnología que supone la culminación de un trabajo de decenios para abordar estos retos.

Puro genio

Hace cuarenta años, la empresa sueca Scarab desarrolló una planta piloto de desalinización con su tecnología patentada de tratamiento de agua. Curiosamente, el agua que se obtenía era extraordinariamente pura y parecía destinada a desempeñar un papel principal en la industria de los semiconductores, cuyo empaquetamiento cada vez más denso sugería una necesidad inminente. Se puso en marcha un ambicioso trabajo de I+D en el Laboratorio Nacional de Sandía (los Estados Unidos) y, a continuación, Scarab y su empresa derivada Xzero comenzaron a centrarse en el UPW para la fabricación de microchips. Desde 2010, el demostrador de Xzero en Estocolmo ha estado eliminando residuos de fármacos de las aguas residuales municipales y purificando el condensado de los gases de combustión de las centrales eléctricas, entre otras actividades. La financiación que ULTRAWAT recibió a través del programa Horizonte 2020 permitió rediseñar por completo la tecnología principal, lo que mejoró la eficacia y disminuyó los costes. Miriam Åslin, directora general de Xzero y coordinadora del proyecto ULTRAWAT, explica: «Los sistemas actuales de producción de UPW de última generación constan aproximadamente de diez pasos. La tecnología LastRinse de Xzero solo necesita dos, incluido nuestro proceso patentado, que elimina todos los compuestos no volátiles mediante la vaporización y condensación de las moléculas de agua, pero deja todo lo demás en el agua de alimentación. Además, utiliza calor residual en vez de electricidad para impulsar el proceso de separación». Este proceso más sencillo y eficaz favoreció el desarrollo de un sistema compacto de punto de uso según demanda, lo que permitió reducir los riesgos de contaminación y simplificar el mantenimiento y la reparación. Las pruebas están en curso y los sistemas comerciales deberían llegar al mercado en 2023.

Una minieconomía circular

ULTRAWAT superó todas las expectativas con su sistema integrado de tratamiento de aguas residuales y recuperación de recursos para aislar todos los contaminantes. La empresa Circular Water Technologies AB comercializará el sistema circular de tratamiento de agua con vertido cero de líquidos para la industria de los semiconductores. En cooperación con imec, Xzero también puso en marcha el proyecto «Resource Recovery», cuyo objetivo es recuperar componentes valiosos, como metales de tierras raras, de aguas residuales de semiconductores. Por último, los problemas planteados por la pandemia de COVID-19 comportaron el desarrollo de un sistema a pequeña escala para enviarlo a los clientes potenciales interesados. Type1water AB comercializará este sistema como equipo de laboratorio. La tecnología LastRinse puede integrarse sin problemas ni interrupciones del trabajo en plantas depuradoras existentes o instalarse en plantas nuevas. Aapo Sääsk, presidente del consejo de Xzero, concluye: «LastRinse es el único sistema que elimina por completo las nanopartículas con un tamaño inferior a 20 nm en UPW; una necesidad absoluta para los futuros avances rentables en nanoelectrónica. Xzero desempeñara un papel fundamental en el crecimiento de la industria europea de la nanoelectrónica al favorecer su independencia de los fabricantes estadounidenses y asiáticos e impulsar la innovación local». Para descubrir Xzero-the movie, vea el vídeo.

Palabras clave

ULTRAWAT, agua, Xzero, agua ultrapura (UPW), nanoelectrónica, chip, semiconductor, LastRinse, aguas residuales, microchip, nanopartícula

Descubra otros artículos del mismo campo de aplicación